Friday, April 23, 2010

Modelsim, scripts getting locked?

So I was working a lot with Modelsim yesterday simulating DDR2 cores, but I kept having to change the script. But Modelsim wouldn't let me!!! So here's the key, when Modelsim is running a script and you interrupt it while it's running, it may show:
VSIM(paused)
and then you're just screwed! OK OK, type 'abort' and hit enter. This will finally end the script and allow you to modify your script without closing down Modelsim. Shockingly 'quit -sim' doesn't work, nor are there any menu options that help.

Perhaps this'll save someone else problems.

8 comments:

  1. You just saved me ;)

    ReplyDelete
  2. Me too. Thanks a lot. I was spending a lot of time to close and open again the tool each time
    :)

    ReplyDelete
  3. I'm with these guys. Thanks, dude.

    ReplyDelete
  4. thanks alot man!! thank you google!

    ReplyDelete
  5. That's grt!!! I had been going through this annoying thing a lot. It saved me.

    ReplyDelete